首页 高薪订单 冬令营 联系我们

一文看懂FPGA的前世今生

FPGA的定位
逻辑器件(数字芯片)可以大致分为标准器件和定制芯片两类。一般来说,越偏向定制,逻辑器件的性能(速度)、集成度(门数)和设计自由度等方面就越有优势,但相对地,设计、制造相关的开发费用(Non-Recurring Engineering,NRE 成本)也较为高昂,且从下单到出货的周转时间(Turn Around Time,TAT)会更长。

定制芯片也大致分为两种:从基础单元(cell)开始设计的全定制芯片和使用经过优化的标准单元实现的半定制芯片。半定制芯片包含了使用标准单元库进行设计的标准单元ASIC(cell-based ASIC);在预先摆放好标准单元(布线前的工艺全部完成)的晶圆上通过定制布线形成产品的门阵列(gate array);介于标准单元ASIC和门阵列之间的嵌入式阵列(embedded array);通过在门阵列上提供SRAM、时钟PLL等通用模块来将定制成本最小化的结构化ASIC(structured ASIC),等等。这些工艺都是为了降低定制芯片的NRE成本和缩短TAT时间。
另一方面,标准器件中有一类逻辑器件被称为可编程逻辑器件(Programmable Logic Device,PLD),它不同于面向固定用途且无法定制的ASSP,是一类可通过编程来实现各种逻辑电路的逻辑器件。PLD这种“可以编程的逻辑电路”由于具有允许用户通过编程手段自由实现定制电路等特色,近些年取得了很大的发展。
FPGA是PLD的一种,它通过组合使用器件内大量逻辑块来实现用户所需电路。FPGA比以往(狭义)的PLD设计自由度更高,并有近似于门阵列的构造,因此被命名为FPGA。FPGA量产时不具有任何逻辑功能(未编程状态),从半导体厂商的角度来看,它是一种可量产的标准器件,从用户的角度来看,它是一种不需要开模等高额费用(NRE成本)又可以随时实现定制电路的方便的ASIC。

FPGA的历史
FPGA/PLD行业经过几十年的发展,已有超过40家企业参与其中。按照年代的顺序来一起回顾一下FPGA的普及、发展和变迁的历史。

01

20世纪70年代(出现FPLA、PAL)早期的PLD使用和PROM类似的结构实现可编程的AND-OR阵列,可以使用存储器件来记忆电路信息。1975年Signetics(西格尼蒂克)公司发布了一种基于熔断丝的可编程FPLA(Field ProgrammableLogic Array,现场可编程逻辑阵列)。后来1978年MMI公司(现Lattice公司)将FPLA简化并采用双极性晶体管制程,开发了高速的PAL(Programmable Array Logic,可编程阵列逻辑)。最终MMI开发的PAL得到了普及。PAL采用了延迟小的固定OR阵列和高速的双极性PROM,但耗电较大且无法重新编程。

02

20世纪80年代20世纪80年代前期(出现GAL、EPLD、FPGA)到了20世纪80年代,各公司都开始销售基于CMOS EPROM/EEPROM的PLD产品,这些产品功耗低且可重新编程。这个时期以DRAM技术为核心的日本半导体厂商发展迅速,而美国的大型半导体公司业绩相对低迷。此时领导PLD市场的主要是美国的创业型企业。Lattice公司(莱迪思,1983年成立)的GAL(Generic Array Logic,通用阵列逻辑),Altera公司(阿尔特拉,1983年成立)的EPLD(Erasable PLD,可擦除PLD)等各种各样的PLD架构涌现,其中GAL得到了广泛应用。GAL基于和PAL兼容的固定OR阵列结构,并且采用了CMOS EEPROM作为编程的记忆元件。
GAL和前面介绍的FPLA、PAL等单一AND-OR阵列结构的PLD被统称为SPLD(Simple PLD),它们的集成度只有数十到数百门的程度。而随着LSI集成度不断增高,要制造比GAL更大规模的PLD时,单一AND-OR阵列结构的资源浪费情况就越来越严重了。因此,作为结构更加灵活的大规模PLD,FPGA和CPLD出现了。
最早将FPGA产品化的Xilinx公司(赛灵思,1984年成立)是由从Zilog(齐格洛)公司离职的Ross H.Freeman 和 Bernard V.Vonderschmitt两人共同创办的创业型企业。Freeman在1985年制作了第一枚具有实用价值的FPGA芯片(XC2064系列),该芯片采用了4输人、1输出的LUT和FF相组合的基本逻辑单元。稍后加入Xilinx的Wiliam S.Carter 又发明了更高效的单元间连接方法。这两个人的发明分别被称为Freeman专利和Carter专利,它们是PLD历史上最为有名的两个专利。因为发明了FPGA,Ross H.Freeman 在2009年被列入了美国发明家名人堂。
Xilinx公司的FPGA产品(产品名为LCA)具有设计自由度高、可重编程和耗电低等优势(因为采用了CMOS SRAM)。Concurrent Logic公司受到Xilinx公司FPGA的启发,又结合MIT(美国麻省理工学院)的Petri网络研究成果,生产了支持部分重配置的FPGA产品。同时,英国爱丁堡大学也在1985年开始着手基于FPGA的虚拟计算机研究,并于1989年通过Algotronix公司(现Xilinx公司)产品化了部分重配置的FPGA产品。在这些产品中,被众人熟知的分别是Atmel的AT6000和Xilinx的XC6200,它们是现在动态重配置FPGA的鼻祖。
20世纪80年代后期(出现反熔丝FPGA和CPLD)到了20世纪80年代中后期,随着半导体集成度和速度的提升,出现了无法擦写的反熔丝FPGA。推出反熔丝FPGA产品的公司有Actel(爱特,1985年成立)、QuickLogic(快辑半导体,1988年成立)和Crosspoint(1991年成立)。
不过此时,刚诞生不久的FPGA还不具备业内用户所期待的性能,所以有不少企业还在探索基于其他构造的大规模PLD.曾经开发过AND-OR阵列PLD产品的Altera、AMD(超威半导体)、Lattice等公司都在开发由多个PLD块组合而成的大规模PLD产品,这些产品后来被统称为CPLD(Complex PLD).虽然CPLD在集成度和设计自由度上不及FPGA,但由于和同期的FPGA相比具有速度快、不易失和擦写容易(采用EPROM/EEPROM)等优势,所以直到20世纪90年代前期,它们都和FPGA一样,是具有代表性的大规模PLD产品。但是,在20世纪90年代后期,基于SRAM的FPGA技术不管在集成度还是速度上都得到了快速发展,所以现在CPLD的定位已经变成了廉价的小规模PLD产品。图片

20世纪80年代的创业情况
图片FPGA市场一直是由创业型企业来主导架构研发和产品化的。第一个将FPGA产品化的Xilinx是1984年成立的创业型企业。Altera和Lattice也在同时期成立并开发SPLD产品,随后也加入了FPGA领域。Actel也是创业型企业,比Xilinx稍晚起步。这四家企业经过后续发展,成为了FPGA市场中主要的四大厂商。再加上稍后创立的QuickLogic,在20世纪80年代创业的这五家企业陆续成为了FPGA市场的领导者。在大型企业中,开发独有FPGA架构并实现产品化的只有AT&T和Motorola(摩托罗拉)公司,但AT&T最早是通过Xilinx提供的技术加入FPGA市场的,Motorola开始也是得到了Pilkington公司的授权才开发产品的,他们都不是从零开始的。此外,德州仪器、松下电器产业(现松下)两家公司与Actel公司合作,Infineon(英飞凌)、ROHM Semiconductor(罗姆半导体)两家公司与Zycad公司合作参与过FPGA市场,但如今都已撤出。图片

日本半导体厂商及大型半导体厂商的动向
图片Lattice、Altera、Xilinx、Actel等20世纪80年代创立的PLD厂商都是没有制造设备的无晶圆厂,他们都委托当时CMOS工艺技术急速成长的日本半导体厂商制造芯片。例如,Xilinx 和Lattice委托的是精工爱普生,而Altera委托的是夏普。Actel则不仅委托制造,还与德州仪器公司和松下电子工业在制造、技术和销售等方面全面结盟合作。在20世纪90年代,也有像FlashFPGA的厂商GateField 与ROHM Semiconductor 这样在制造、技术、销售等方面广泛合作的案例。不过近些年,PLD制造的主力都纷纷转移到了联电(UMC)、台积电(TSMC)等具有先进CMOS工艺技术的中国台湾厂商。
当时的日本大型厂商只专注于通用产品中的DRAM和可定制产品中的门阵列,因此并没有独自参与过PLD市场。
而德州仪器、美国国家半导体公司等擅长制造逻辑芯片和内存产品的美国大型半导体厂商,也都曾经参与过双极性AND-OR阵列PLD和CMOS EPROM/EEPROM PLD等产品的开发。只是在研发新架构、领导的市场方面不及专业的PLD厂商积极,如今多数都已撤出PLD市场。大型企业AMD公司在1987年通过收购MMI也积极参与过新架构CPLD的开发,但后来为了专注于发展势头较好的CPU领域,于1996年将PLD业务剥离并转移到了子公司Vantis,最终于1999年出售给了Lattice。

03

20世纪90年代(1)FPGA大规模化发展20世纪90年代,Xilinx和Altera分别改良、扩展各自的XC400和FLEX架构,使FPGA上逻辑电路的规模(门数)得到了快速增长。20世纪90年代前期达到了数千至数万门,20世纪90年代后期更是发展到了数万至数十万门的规模。使用多枚FPGA的快速原型开发环境也在此时出现了。进入20世纪90年代后,FPGA迅速普及,AT&T(PLD业务现属Lattice)、Motorola(现撤出了PLD业务)、Vantis(现Lattice)等越来越多的厂商开始制造基于SRAM的FPGA。川崎制铁、NTT、东芝等日本厂商也着手研发过产品,但最终都没能推向市场。
据说由于开发基于SRAM的FPGA可能会侵犯Xilinx的基本专利(Freeman专利和Carter专利),因此许多厂商最终都放弃了产品化。1993年Altera就因其销售的基于SRAM的PLD产品(FLEX系列等)的专利问题,和Xilinx之间有过长时间的法律纠纷。最终两家公司于2001年和解,从此Altera也开始称自己的产品为FPGA。
20世纪90年代后期,还有一些新型的FPGA产品面世。例如,GateFiled公司的FPGA使用Flash Memory作为编程元件,具有可擦写、非易失等优点。还有DynaChip公司开发的FPGA采用了BiCMOS工艺的高速ECL逻辑等。
20世纪90年代后期开始,FPGA的集成度和速度得到了快速发展,特别是在集成度上与CPLD拉开了距离。由此,FPGA成为了大规模PLD的代表。另一方面,FPGA在性能上和门阵列、标准单元ASIC等半定制产品的差距也逐渐缩小,成功进入了半定制产品(特别是门阵列)的市场。
整个20世纪90年代,FPGA的系统化和大规模化趋势非常明显,因此搭载MPU和DSP等硬核模块也成为了必然趋势。1995年,Altera公司的FLEX10K开始通过搭载存储器块(memory block)来扩大产品的应用范围,同时还搭载了PLL(Phase-Locked Loop,锁相环)以增强时钟管理和高速电路设计能力。从这个时期开始,FPGA真正成为被广泛应用的量产系统,得到了快速的普及。1997年,逻辑规模达到了25万门,主频也达到了50~100 MHz.到了1999年,Xilinx公司新型FPGA Virtex-E和Altera公司APEX20K的发布促进了FPGA进一步的大规模化和高速化,将集成度提高到了100万门级别,这标志着FPGA正式迎来了百万门时代。
(2)20世纪90年代的创业情况20世纪90年代前半期参与FPGA市场的创业企业有Crosspoint公司、DynaChip公司(Dyna Logic)和Zycad公司。Zycad原本主要开发逻辑仿真EDA工具,但后来出售了EDA业务并专注于FPGA市场,因此也可被认为是这一时期的创业企业。然而此时,先行的Xilinx、Altera、Actel 和 Quicklogic 已经积蓄了很强的实力难以超越,导致Crosspoint 和DynaChip都中途退出了市场。
Crospoint公司创立于1991年,是最后一家生产反熔丝FPGA的企业。该公司在1991年就申请了专利,产品也上市了,但最终还是在1996年终止了业务。虽然其成立不到一年就通过股东日本ASCII公司与日本半导体大厂(日立制作所)缔结了技术开发和制造销售的合同,同时又和其他大公司结盟并制定了参与FPGA市场的计划,但该计划却因种种原因无疾而终。Crosspoint的FPGA,简单说就是在金属布线层间穿孔放置非晶硅反熔丝,从而实现用户可定制的门阵列。其特色是采用了最细粒度的晶体管对,可以和门阵列一样进行晶体管级别的连接。若在晶体管级别和CMOS逻辑门构造一致,那么理论上就不会产生和FPGA一样的集成度上的缺点。这一点正是Crosspoint的创新技术,类似的FPGA之前没有过,之后也再没出现过可以实现和CMOS门阵列一样架构的可编程器件。
另一方面,20世纪90年代后期 Xilinx和Altera两巨头在市场上表现强劲,一段时间内都没有新创FPGA芯片厂商出现。以FPGA核或动态重配置处理器等新类别起家的厂商却不少,尤其是后者。但是这些企业大多要么被收购、要么倒闭,即便至今还在继续经营,也几乎都没有获得商业上的成功。

04

21世纪00年代(1)百万门时代和系统LSI化进入2000年,FPGA开始呈现系统LSI化的趋势。作为由FPGA厂商开发并提供支持的处理器IP,Nios软核处理器被Altera公司公开。同年,Altera还推出了世界上第一款带有硬核处理器的FPGA产品Excalibur。Excalibur在一枚芯片上同时集成了ARM处理器(ARM922和外设功能)和FPGA电路。此外,Xilinx公司也推出了软核处理器MicroBlaze,并生产了搭载PowerPC处理器硬核的FPGA产品(Virtex II Pro)。
在系统LSI化过程中,高速外部接口也一样重要。此时FPGA也开始应用SERDES(Serializer-Deserializer,串行器-解串器)电路和LVDS(Low Voltage Differential Signaling,低电压差分信号),实现了高速串行通信接口。同时,为了应对图像处理等运算性能上的需求,在通用逻辑块之外还增加了数字信号处理器块(DSP块)和具有高面积效率比的多输入逻辑块等高性能模块,从而显著地提高了集成度和电路实现的性能。然后,为了应对不同用户对硬核IP的不同需求,厂商还开发了多种子系列产品供不同领域用户选择。
以Altera公司为例,该公司推出了集合这些创新性进化于一身的高端FPGA产品 Stratix(2002年,130nm),该系列后继产品Stratix II(2004年,90nm)、Stratix III(2006年,65nm)和Stratix IV(2008年,40nm)每两年升级一次。1995年FLEX10K发布时,其逻辑电路规模大约是10万门,内部时钟最大100 MHz.而到了2009年,其逻辑电路规模已经达到了1500万门(840万门逻辑加DSP块),15年间增长150倍,内部最大时钟频率也达到了600 MHz.另一边,Xilinx公司的高端FPGA产品 Virtex II Pro(2002年,130 nm)、Virtex-4(2004年,90nm)、Virtex-5(2006年,65nm)和Virtex-6(2009年,40nm)也大概是每两年升级一次。因为逻辑芯片的制程大约是每两年更新一代,所以2000年以后FPGA的进化基本和制程升级是保持同步的。
(2)21世纪00年代的新兴厂商FPGA相关的两个最基本的专利Carter专利和Freeman专利,曾是考虑进入FPGA芯片市场的新兴厂商的最大障碍。不过随着时间的推移,Carter专利和Freeman专利分别在2004年和2006年迎来专利权期限届满。以此为契机,该时期涌现了众多FPGA新兴企业,例如SiliconBlue Technologies 公司、Achronix Semiconductor公司、Tabula公司、Abound Logic公司(曾为M2000公司)、Tier Logic公司,等等。
SiliconBlue 公司针对主流FPGA能耗大的缺陷,基于台积电的低漏电65nm制程,开发了面向便携式设备的超低功耗FPGA系列产品iCE65。该系列在基于SRAM的FPGA的基础上同时搭载了非易失配置存储器件,和其他FPGA相比只有1/7的工作功耗和1/1000的待机功耗。
Achronix 公司则基于美国康奈尔大学的高速FPGA研究成果,开发了Speedster 系列。Speedster FPGA的特点是采用了异步电路传输数据令牌。数据令牌是将过去FPGA的数据和时钟合二为一,通过握手传输数据。该公司最初的产品为SPD60(台积电65nm),其吞吐量比以往的FPGA大3倍,约为1.5GHz。
Tabula 公司的技术特征是利用动态重配置的特长,在同一逻辑单元上实现多种功能从而降低FPGA成本。大厂的FPGA相对其他ASIC产品价格较贵,而Tabula在价格上展开攻势这一点比较合创业型企业的作风。该厂商的ABAX系列FPGA采用独有的动态重配置技术,可以动态地切换逻辑单元,因此可用较少的资源实现大规模电路。具体来讲,就是将外部输入的时钟信号在FPGA内部通过倍频来生成高速时钟,并用高速时钟信号驱动逻辑电路和电路重配置机构。因此,就算物理上逻辑电路的规模是一定的,也可通过高速切换来实现逻辑电路的分时复用,从而得到更大的有效逻辑规模。Tabula将这种在二维芯片上添加时间维度来增大有效逻辑规模的结构称为三维FPGA。
Abound Logic 公司发布过以Crossbar Switch 和可扩展架构为特征的大规模FPGA产品Rapter,但在2010年终止了相关业务。Tier Logic公司则和东芝等公司共同开发了在CMOS电路上方通过非晶硅TFT的方式实现配置SRAM,从而形成独特的单体3D-FPGA的技术,但也同样在2010年由于资金短缺终止了业务。

05

21世纪10年代(1)制程的发展和技术新潮流2010年,Xilinx公司和Altera公司都发布了28nm的FPGA并于2011年春开始供货,由此更加巩固了FPGA相对ASIC的优势。这两家最大的FPGA厂商除了以往的高低端FPGA以外,都又增加了中端产品线。例如,Xilinx将合作的晶圆工厂从联电换成了台积电,Xilinx7系列全线产品(高端FPGA Virtex-7,中端FPGA Kintex-7,低端FPGAArtix-7)采用28nm制造工艺,在提高性能的同时降低了功耗。如今,Xilinx 和 Altera 两家公司最新的FPGA都由台积电代工生产。

接下来,对28nm FPGA的技术新潮流进行讲解


(a)新时代的SoC化潮流虽然 Xilinx和Altera都曾在2000年左右发布过第一代搭载硬核处理器的SoC化FPGA产品,但这些产品都比较短命。后来,使用软核处理器的FPGA得到了广泛的应用。不过随着制程的进步,搭载硬核处理器的FPGA在性能和成本方面也开始逐步迎合市场需求。此外,这一时期正值32位处理器逐渐被市场淘汰,在这些内外因素的推动下,将ARM等面向嵌入式处理器的CPU核、外围处理电路等功能集于一身且面向SoC的FPGA最终出现了。这类产品被称为SoC FPGA、可编程SoC或SoPD(System on Programmable Device)等。例如,Xilinx以全新的品牌名Zynq发布了Zynq-7000系列产品。该系列产品在以ARM Cortex-A9 MPCore 处理器为基础的SoC之上,集成了Xilinx 28nm的7系列可编程逻辑。而Altera推出的Cyclone V系列 SoC FPGA产品,也是在同一芯片上集成了双核 ARM Cortex-A9 MPCore处理器和FPGA。
(b)部分重配置部分重配置(partial reconfiguration)是指重新配置FPGA的特定部分时其余部分可以持续工作不中断的功能。Xilinx公司Virtex-4之后的高端FPGA器件及其开发工具(ISE 12以上的版本)都支持部分重配置。Altera公司也是从Stratix V开始支持部分重配置。从两大FPGA厂商相继正式支持部分重配置技术可以看出市场对该技术有很大的期待。
(c)3D-FPGA(2.5D-FPGA)Xilinx公司通过在硅基板(silicon interposer)上堆叠并连接多块二列基本维摆放的FPGA,制造了第一枚2.5D-FPGA产品。虽然理想的3D芯片是将多块具有TSV(Through Silicon Via,硅通孔)的芯片垂直叠放成立体结构,但有些芯片难以制作TSV,且包含大量TSV的芯片良品率低,导致制造成本过高。而备受瞩目的2.5D技术只需堆叠两层芯片,无须使用TSV,因此可以缓解这些问题并获得接近3D的性能。基于台积电的28 nm HPL制程制造的Virtex-7 2000T是集成了68亿个晶体管的业内最大FPGA,它的200万个逻辑单元相当于2000万个ASIC门。
(d)车载FPGAXilinx 公司基于Artix-7 FPGA开发了面向车载应用、符合AEC-Q100标准的XA Artix-7 FPGA,以及可编程SoC形态的XA Zynq-7000产品。Xilinx的设计工具还通过了第三方实施的功能安全性标准ISO-26262认证。此外Altera公司和Lattice公司也都在做各自的车载解决方案。
(e)C语言开发环境最近,FPGA厂商都开始提供利用C语言进行FPGA设计的开发环境。Xilinx公司的高层次综合工具Vivado HLS支持用户直接从C、C++或System C代码综合生成FPGA硬件而无须编写RTL,该工具同时兼容ISE和Vivado设计环境。另一边,Altera公司则积极推进OpenCL的应用。OpenCL是基于C语言进行开发的,并可将代码部署到CPU、GPU、DSP以及FPGA等各种平台。Altera希望通过提供对OpenCL的支持,在并行计算的硬件加速器应用中普及自家的FPGA产品。
(f)其他另外还有一些新的技术。比如,为了应对通信带宽需求的增长而搭载高带宽光通信接口的光FPGA(Optical FPGA)或可以耐受强辐射的FPGA(Radiation-hardened FPGA)等。图片

FPGA的制程和路线图
图片在28nm制程之后,Xilinx公司推出了基于20nm制程的UltraScale系列。该系列包括Kintex UltraScale 和 Virtex UltraScale两个子系列,其中Virtex UltraScale的规模最大,相当于5000万ASIC门。UltraScale系列基本上都是由台积电的20nm制程制造的,只有Virtex UltraScale的高端型号采用了台积电的16 nm FinFET工艺。另一边,作为Altera公司新一代Generation 10 FPGA的Arria 10 FPGA和Stratix 10 FPGA,都是搭载了嵌入式硬核处理器的SoC化产品。Generation 10器件使用了业内最先进的 Intel 15 nm FinFET工艺和台积电的20 nm工艺制造,其中高端产品 Stratix 10的主频可以达到1GHz以上。
逻辑芯片一直跟随制程工艺的发展脚步每两年更新一次。对比Intel处理器的发展来看,2000年之后的FPGA也基本符合这一发展节奏。ASIC直到21世纪初期还紧跟先进工艺的脚步,但近10年,除游戏主机等一部分应用外,大多数产品还在使用130~90nm制程,基本停留在了10年前的水平。
FPGA则和通用处理器一样紧随工艺的发展路线,不断使用最先进的制程工艺推出新产品。今后,随着28nm、20nm、16/14nm制程的推进,FPGA所采用的工艺要比ASIC领先三四代,其性能足以匹敌130nm、90nm甚至是65nm的ASIC产品。图片

垄断化和行业洗牌
图片进入21世纪10年代之后FPGA行业的垄断化加剧。行业内最大的两家厂商 Xilinx和Altera占据了超过八成的市场份额,而仅剩的两成中的大部分又被中坚厂商Lattice和Actel瓜分。行业第四的Actel于2010年10月被美国主攻高可靠性半导体的Microsemi收购,现在以Microsemi FPGA品牌推出的产品主要是基于Flash和反熔丝的非易失性FPGA。
20世纪80年代起家的FPGA厂商中,QuickLogic也曾销售过反熔丝式FPGA,但后来这家公司改变了产品策略并离开了FPGA市场,主营定制区域可编程的CSSP(Customer Specific Standard Products,客户特定标准产品)产品。相对于FPGA芯片整体可编程的结构,CSSP只提供一部分可编程领域,而剩余部分使用统一接口的标准电路,是一种客户可以定制指定部分的产品。Atmel的FPGA技术则主要作为嵌入式核与自家AVR单片机组合使用,该公司和QuickLogic一样退出了主流FPGA市场。
21世纪00年代中期起家的新兴FPGA厂商中,主打超低功耗FPGA的SiliconBlue 于2011年年末被Lattice收购。Lattice后来推出了基于40nm的iCE40系列产品。另外,使用动态重配置技术主打低成本FPGA的Tabula公司在2015年3月倒闭。Achronix公司则在2015年还基于Intel的22nm制程推出了Speedster22i FPGA系列产品。
近几年半导体行业整体进入了大洗牌时代,相继发生了多起大型并购案。与此同时,FPGA行业也有所改变。其中最具代表性的是Intel(英特尔)公司于2015年6月收购了FPGA巨头Altera。最终收购金额为167亿美元,几乎是Altera当时营业额的10倍,这也是Intel历史上最大的一笔收购。Intel之所以重金收购Altera,应该是认识到了要想继续在不断成长的数据中心和IoT处理器市场称霸,FPGA技术将成为不可或缺的一项技术。
经过此事,Qualcomm(高通)公司和Xilinx公司于2015年11月公布展开战略合作。两家公司将整合各自擅长的技术-用于高端服务器的ARM处理器和FPGA技术,面向数据中心市场提供解决方案。此次合作的成果将涵盖大数据分析、机器学习和存储等云计算基础设施领域。2015年11月,Xilinx公布了和IBM公司缔结多年战略联盟关系的消息。通过在IBM的Power Systems中使用Xilinx的FPGA来开发面向特定应用的加速器,可以实现具有高能效比的数据中心系统,从而改善机器学习、虚拟化网络、高性能计算、大数据分析等应用的性能。而这一系列的战略合作,被认为是为了对抗Microsoft(微软)公司和Altera(Intel)领先一步合作开发的加速系统Catapult。

留下评论

您的电子邮箱地址不会被公开。 必填项已用 * 标注